• Fifo Gray   (1 )
    1

  • Fifo Gray   (2 )
    2

  • Fifo Gray   (3 )
    3

  • Fifo Gray   (4 )
    4

  • Fifo Gray   (5 )
    5

  • Fifo Gray   (6 )
    6

  • Fifo Gray   (7 )
    7



  • ̸
    7 Pg
    մϴ.
Ŭ : ũԺ
  • Fifo Gray   (1 )
    1

  • Fifo Gray   (2 )
    2

  • Fifo Gray   (3 )
    3

  • Fifo Gray   (4 )
    4

  • Fifo Gray   (5 )
    5

  • Fifo Gray   (6 )
    6

  • Fifo Gray   (7 )
    7




  • (ū ̹)
    ̸
    7 Page
    մϴ.
Ŭ : ݱ
X ݱ
巡 : ¿̵

Fifo Gray

레포트 > 공학기술 ٷΰ
ã
Ű带 ּ
( Ctrl + D )
ũ
Ŭ忡 Ǿϴ.
ϴ ֱ ϼ
( Ctrl + V )
 : Fifo_Gray.zip   [Size : 191 Kbyte ]
з   7 Page
  2,000

īī ID
ٿ ޱ
ID
ٿ ޱ
̽ ID
ٿ ޱ


ڷἳ
Gray 카운터를 이용한 Fifo 설계(verilog)
/

1. fifo_gray (rtl 레벨 소스)

2. addsub_32 (rtl 레벨 소스)

3. FA (rtl 게이트 레벨 소스)

4. Bin2Gray (rtl 레벨 소스)

/
1.fifo_gray (rtl 게이트 레벨 소스)

`timescale 1ns / 10ps

module fifo_gray(clk, push, pop, data, ready, empty, full);

output ready, empty, full;

input logic clk, push, pop;

inout [31:0]data;

localparam depth=2;

reg [31:0]memory[2**depth-1:0];

reg [depth-1:0]ptr[2:1];
reg [depth-1:0]bptr[2:1];
reg [depth-1:0]rptr;

reg ready, empty, full;

reg push_done,pop_done ;

logic [depth-1:0] a;

assign a= push?bptr[1]:pop?bptr[2]:0;

wire [depth-1:0] s,gptr;

initial

begin

ready =1;
empty =1;
full =0;
pop_done=1;
ptr[2] =0;
ptr[1] =0;
bptr[2] =0;
bptr[1] =0;

end

always @(posedge clk)
begin:PUSH
if(push)
begin
ready = 0;
if(!full)
begin
memory[ptr[1]] <= data;
ptr[1] = gptr;
bptr[1]= s;
end
if(push_done &&(ptr[2]==ptr[1]))
full=1;
end
if(pop)
full=0;
end

always @(posedge clk or negedge pop)
begin:POP
if(!empty && pop)
begin
bptr[2] = s;
ptr[2]=gptr;
…(생략)
verilog 서적


ڷ
ID : hota****
Regist : 2016-09-20
Update : 2016-09-20
FileNo : 16217995

ٱ

연관검색(#)
fifo   gray   counter   Fifo   Gray  


ȸҰ | ̿ | ޹ħ | olle@olleSoft.co.kr
÷Ʈ | : 408-04-51642 ֱ 걸 326-6, 201ȣ | ä | : 0561ȣ
Copyright ÷Ʈ All rights reserved | Tel.070-8744-9518
̿ | ޹ħ olle@olleSoft.co.kr
÷Ʈ | : 408-04-51642 | Tel.070-8744-9518